HomeNoticias HardwareIntel reveló su Roadmap de innovaciones en procesos y empaques de forma...

Intel reveló su Roadmap de innovaciones en procesos y empaques de forma detallada

Comunicado de prensa

Intel Corporation reveló una de las hojas de ruta de tecnología de empaque y procesos más detalladas que la compañía haya proporcionado, mostrando una serie de innovaciones fundamentales que impulsarán los productos hasta 2025 y más allá.

Además de anunciar RibbonFET, su primera nueva arquitectura de transistores en más de una década, y PowerVia, un nuevo método de suministro de energía en la parte trasera de la industria, la compañía destacó su rápida adopción planificada de la litografía ultravioleta extrema (EUV) de próxima generación, referida a como EUV de apertura numérica alta (NA alta). Intel está posicionado para recibir la primera herramienta de producción EUV de alta NA en la industria.

Pat Gelsinger, CEO of Intel Corporation, speaks during a virtual presentation as part of the «Intel Accelerated» event on July 26, 2021. At the event, Intel presented the company’s future process and packaging technology roadmaps. (Credit: Intel Corporation)

«Basándonos en el liderazgo incuestionable de Intel en empaquetado avanzado, estamos acelerando nuestra hoja de ruta de innovación para asegurarnos de que estamos en un camino claro hacia el liderazgo en el desempeño de procesos para 2025», dijo el CEO de Intel, Pat Gelsinger, durante el webcast global «Intel Accelerated«. “Estamos aprovechando nuestra incomparable línea de innovación para ofrecer avances tecnológicos desde el transistor hasta el nivel del sistema. Hasta que se agote la tabla periódica, seremos implacables en nuestra búsqueda de la Ley de Moore y nuestro camino para innovar con la magia del silicio «.

La industria ha reconocido desde hace mucho tiempo que la denominación de nodos de proceso tradicional basada en nanómetros dejó de coincidir con la métrica de longitud de puerta real en 1997. Hoy, Intel introdujo una nueva estructura de denominación para sus nodos de proceso, creando un marco claro y coherente para ofrecer a los clientes una visión más precisa. de los nodos de proceso en la industria. Esta claridad es más importante que nunca con el lanzamiento de Intel Foundry Services. “Las innovaciones presentadas hoy no solo permitirán la hoja de ruta de productos de Intel; también serán fundamentales para nuestros clientes de fundición ”, dijo Gelsinger. “El interés en IFS ha sido fuerte y estoy encantado de que hoy anunciemos a nuestros dos primeros clientes importantes. ¡IFS se va a las carreras! «

Los tecnólogos de Intel describieron la siguiente hoja de ruta con los nuevos nombres de los nodos y las innovaciones que permiten a cada nodo:

  • Intel 7 ofrece un aumento de rendimiento por vatio de aproximadamente 10% a 15% en comparación con Intel 10nm SuperFin, basado en optimizaciones de transistores FinFET. Intel 7 se incluirá en productos como Alder Lake para el cliente en 2021 y Sapphire Rapids para el centro de datos, que se espera que esté en producción en el primer trimestre de 2022.
  • Intel 4 adopta completamente la litografía EUV para imprimir características increíblemente pequeñas con luz de longitud de onda ultra corta. Con un aumento del rendimiento por vatio de aproximadamente un 20%, junto con mejoras de área, Intel 4 estará listo para la producción en la segunda mitad de 2022 para los productos que se enviarán en 2023, incluido Meteor Lake para el cliente y Granite Rapids para el centro de datos.
  • Intel 3 aprovecha más optimizaciones de FinFET y un mayor EUV para ofrecer un aumento de rendimiento por vatio de aproximadamente un 18% sobre Intel 4, junto con mejoras de área adicionales. Intel 3 estará listo para comenzar a fabricar productos en la segunda mitad de 2023.
  • Intel 20A marca el comienzo de la era angstrom con dos tecnologías innovadoras, RibbonFET y PowerVia. RibbonFET, la implementación de Intel de un transistor de compuerta todo alrededor, será la primera nueva arquitectura de transistores de la compañía desde que fue pionera en FinFET en 2011. La tecnología ofrece velocidades de conmutación de transistores más rápidas mientras logra la misma corriente de excitación que múltiples aletas en un espacio más pequeño. PowerVia es la primera implementación en la industria única de Intel de suministro de energía en la parte trasera, que optimiza la transmisión de la señal al eliminar la necesidad de enrutar la energía en la parte frontal de la oblea. Se espera que Intel 20A se acelere en 2024. La compañía también está entusiasmada con la oportunidad de asociarse con Qualcomm utilizando su tecnología de proceso Intel 20A.

2025 y más allá

Más allá de Intel 20A, Intel 18A ya está en desarrollo para principios de 2025 con mejoras en RibbonFET que brindarán otro salto importante en el rendimiento del transistor. Intel también está trabajando para definir, construir e implementar EUV High NA de próxima generación y espera recibir la primera herramienta de producción en la industria. Intel se está asociando estrechamente con ASML para asegurar el éxito de este avance en la industria más allá de la generación actual de EUV.

“Intel tiene una larga historia de innovaciones de procesos fundamentales que han impulsado la industria a pasos agigantados”, dijo la Dra. Ann Kelleher, vicepresidenta senior y gerente general de Desarrollo Tecnológico. “Lideramos la transición a silicio deformado a 90 nm, a puertas metálicas de alta k a 45 nm y a FinFET a 22 nm. Intel 20A será otro momento decisivo en la tecnología de procesos con dos innovaciones revolucionarias: RibbonFET y PowerVia ”.

Ann Kelleher, senior vice president and general manager of Technology Development at Intel Corporation, speaks during a virtual presentation as part of the «Intel Accelerated» event on July 26, 2021. At the event, Intel presented the company’s future process and packaging technology roadmaps. (Credit: Intel Corporation)

Con la nueva estrategia IDM 2.0 de Intel, el empaquetado se está volviendo aún más importante para lograr los beneficios de la Ley de Moore. Intel anunció que AWS será el primer cliente en utilizar las soluciones de empaque de IFS, al tiempo que proporciona la siguiente información sobre la hoja de ruta de empaquetado avanzada de la empresa líder en la industria:

  • EMIB continúa liderando la industria como la primera solución de puente integrado 2.5D, con productos que se envían desde 2017. Sapphire Rapids será el primer producto de centro de datos Intel® Xeon® que se enviará en volumen con EMIB (puente de interconexión de múltiples matrices integrado). También será el primer dispositivo del tamaño de una retícula doble en la industria, que ofrecerá casi el mismo rendimiento que un diseño monolítico. Más allá de Sapphire Rapids, la próxima generación de EMIB pasará de un tono de golpe de 55 micrones a 45 micrones.
  • Foveros aprovecha las capacidades de empaquetado a nivel de oblea para proporcionar una solución de apilamiento 3D única en su tipo. Meteor Lake será la implementación de segunda generación de Foveros en un producto de cliente y presenta un salto de 36 micrones, mosaicos que abarcan múltiples nodos de tecnología y un rango de potencia de diseño térmico de 5 a 125W.
  • Foveros Omni marca el comienzo de la próxima generación de tecnología Foveros al proporcionar una flexibilidad ilimitada con tecnología de apilamiento 3D de rendimiento para diseños modulares y de interconexión de matriz a matriz. Foveros Omni permite la desagregación de matrices, mezclando múltiples mosaicos de matrices superiores con múltiples mosaicos de base en nodos de fabricación mixtos y se espera que esté listo para la fabricación en volumen en 2023.
  • Foveros Direct se mueve hacia la unión directa de cobre a cobre para interconexiones de baja resistencia y difumina el límite entre donde termina la oblea y donde comienza el paquete. Foveros Direct permite tonos de relieve de menos de 10 micrones, lo que proporciona un aumento de orden de magnitud en la densidad de interconexión para el apilado en 3D, lo que abre nuevos conceptos para la partición funcional de matrices que antes eran inalcanzables. Foveros Direct es complementario de Foveros Omni y también se espera que esté listo en 2023.

Los avances discutidos hoy se desarrollaron principalmente en las instalaciones de Intel en Oregon y Arizona, consolidando el papel de la compañía como el único jugador de vanguardia con investigación y desarrollo y fabricación en los EE. UU. tanto en Estados Unidos como en Europa. Las asociaciones sólidas son clave para llevar las innovaciones fundamentales del laboratorio a la fabricación de alto volumen, e Intel se compromete a asociarse con los gobiernos para fortalecer las cadenas de suministro e impulsar la seguridad económica y nacional.

La compañía cerró su webcast confirmando más detalles sobre su evento Intel InnovatiON que se llevará a cabo en San Francisco y en línea del 27 al 28 de octubre de 2021.

Alejandro Dau
Alejandro Dau
Con gran experiencia en el ámbito tecnológico, Licenciado en Informática y amante de los deportes, ocupa la posición de Editor en jefe con una sola idea: brindar a los lectores las mejores noticias y reviews de habla hispana.